libs/vkd3d-shader: Fix write mask for vPrim register.

This commit is contained in:
Józef Kucia 2017-08-15 11:25:10 +02:00
parent 0642318dea
commit f82a90ff78
1 changed files with 4 additions and 3 deletions

View File

@ -2395,10 +2395,11 @@ static uint32_t vkd3d_dxbc_compiler_emit_input(struct vkd3d_dxbc_compiler *compi
bool use_private_var = false;
DWORD write_mask;
/* vThreadIDInGroupFlattened is declared with no write mask in shader
* bytecode generated by fxc. */
/* vThreadIDInGroupFlattened, vPrim are declared with no write mask in
* shader bytecode generated by fxc. */
write_mask = dst->write_mask;
if (!write_mask && reg->type == VKD3DSPR_LOCALTHREADINDEX)
if (!write_mask && (reg->type == VKD3DSPR_LOCALTHREADINDEX
|| reg->type == VKD3DSPR_PRIMID))
write_mask = VKD3DSP_WRITEMASK_0;
signature_element = vkd3d_find_signature_element_for_reg(compiler->input_signature,