diff --git a/src/intel/compiler/brw_compiler.c b/src/intel/compiler/brw_compiler.c index 7ceeb14c70f..c04264cba32 100644 --- a/src/intel/compiler/brw_compiler.c +++ b/src/intel/compiler/brw_compiler.c @@ -187,6 +187,7 @@ brw_compiler_create(void *mem_ctx, const struct gen_device_info *devinfo) nir_options->lower_flrp32 = devinfo->gen < 6 || devinfo->gen >= 11; nir_options->lower_rotate = devinfo->gen < 11; + nir_options->lower_bitfield_reverse = devinfo->gen < 7; nir_options->lower_int64_options = int64_options; nir_options->lower_doubles_options = fp64_options;